Sunday 15 June 2014

Clock in eda-playground.com in verilog -



Clock in eda-playground.com in verilog -

when trying clock waveform display in eda playground error "execution interrupted or reached maximum runtime". how wave form show?

code on eda playground:

module test; reg clk; initial begin $dumpfile("dump.vcd"); $dumpvars(1); clk=0; end begin #1 clk<=~clk; end endmodule

there no $finish sim ran indefinitely , killed server. adding #100 $finish; main test programme give 50 clocks illustration on eda playground.

module test; reg clk; initial begin $dumpfile("dump.vcd"); $dumpvars(1); clk=0; #100 $finish; //<-- end simulation end begin #1 clk<=~clk; end endmodule

verilog clock eda

No comments:

Post a Comment